Telecoms News from NTSI

The Latest Telecoms & Infrastructure News

Innovative Technology Drives Rapid Deployment of New 5G Products, Services and Business Models

Written by Brendan Farley, VP Wireless Engineering & MD EMEA at Xilinx

The wireless future is about developing the most compelling products using a combination of advanced technologies to maximise system performance, while optimising both cost and power. Doing so will unlock deployment of new 5G products and services for mobile operators and the whole 5G ecosystem, from businesses to consumers to the economy. With 5G offering so much potential, how can the industry overcome the challenges associated with cost, power and performance to ensure the second wave of 5G is a success?

Any savvy businessperson knows to protect their investments, the same holds true for operators and their 4G investments. Existing 4G networks are made up of infrastructure such as cell towers and premises that host different parts of the radio access network, so operators are now exploring how they can build upon these investments by upgrading them to 5G. As an example, high density locations, such as large urban areas, require additional radio capacity. With massive multiple-input, multiple-output (mMIMO) panels forming the backbone of 5G radio deployments, operators can take their existing sites and upgrade them by replacing passive 4G panels with active 5G panels. Of course, installation needs to be easy and low cost, and hardware needs to be as economical as possible.

 

Better Cost Optimisation

There are several ways operators can ensure their 5G network infrastructure is cost optimised. For instance, using advanced silicon technology when upgrading existing 4G sites with 5G mMIMO panels means original equipment manufacturers (OEMs) can architect systems best suited to their unique needs. This ultimately means panels can be built to specific cost requirements, as well as performance and bandwidth criteria. In terms of operating expense (OPEX), power amplifiers (PA) tend to dominate power consumption of the radio panels, so using the latest PA technology is extremely important.  Additionally, the form factor of mMIMO panels should be similar to existing 4G passive panels so that they can be replaced directly without increasing site rental.

In a further bid to help drive the rollout of 5G forward, operators have started to collaborate by sharing the costs associated with 5G equipment. Specifically, Vodafone and Telefónica in the UK announced plans to share cell tower and panel infrastructure. The two carriers said that this network sharing agreement will allow them to speed up the deployment of 5G technology and lower roll-out costs. This is possible thanks to 3GPP specifications, which allow operators to share 4G and 5G in the same radio, and radios can be shared with multiple operators.

 

GaN Technology for PA

In the deployment of 5G networks, power consumption is another essential item that needs to be addressed. Today, PAs based on LDMOS (laterally diffused metal-oxide semiconductor) technology dominate the overall radio power consumption, with dissipation exceeding 1KW. So, it’s understandable that alternative technologies are now being explored. Specifically, Gallium Nitride (GaN) based PAs have started to emerge and are already being deployed, which makes sense considering the properties of GaN-based technology exceed existing silicon-based LMDOS technology in terms of bandwidth and power density requirements. In China, for example, GaN is now being used extensively due to its extremely power efficient capabilities, especially at higher frequencies like 3.5 GHZ. When using GaN, the overall power consumption of the PA can be improved significantly, and this then has a knock-on effect on the size, volume, weight, and cost of the panel.

As GaN technology is non-linear, much more powerful digital predistortion (DPD) algorithms need to be included to linearize the most power efficient GaN PAs. Once the power consumption has been addressed, it’s then possible to reduce the volume and weight of the heatsink. The heatsink is there to primarily take the heat away from the RF section, so by reducing the power of the RF the volume and weight of the unit can be reduced. The volume and weight of the unit determines the number of people in the crew and the equipment required to install these panels in the tower. The scaling factor for the cost can be 2-3X, depending on the volume, weight and number of people needed to install the panels.

 

Advanced Silicon Integration

The digital process automation (DPA) using GaN needs to be more powerful and the ability to process bandwidths of 400MHz or more is crucial. Xilinx recently announced a new product, the Zynq® RFSoC DFE which has standard cell, IP hard block functions for power and cost. The adaptive RFSoC platform integrates more hardened IP than soft logic, enabling a flexible solution that is high performance, power-efficient, and cost-effective. The device includes programmable logic that allows the user to customise and add their own algorithms, and to optimise and upgrade their design as standards and bandwidth change. This adaptability and ability to future proof are huge advantages.

What’s more, hardened DPD IP is based on Xilinx production proven soft-core IP and enhanced to support advanced wideband GaN PAs to improve power efficiency. Essentially, the Zynq RFSoC DFE allows for market agility as the 5G rollout undergoes disruptive business models driven by interoperability initiatives (e.g., ORAN, TIP), new service providers, and increased competition. The platform’s hardware adaptability enables innovation while delivering the same benefits of an ASIC without the NRE: reducing risk and lowering overall TCO for new market entrants and traditional OEMs alike.

rf-digital-block (2).png

Figure 1: Zynq RFSoC DFE block diagram

 

Performance Optimisation

When looking at the distributed unit (DU), many operators are tied into proprietary systems offered by OEMs and have little control over the optimisation of these systems. With the emergence of 5G, 3GPP means the disaggregated base station, i.e. the distributed unit/central unit (DU/CU), can be completely virtualised.  A viable solution could be a commoditised server approach, which runs open software that operators can control and optimise themselves for network performance and for 5G services. In terms of overall capacity gain, the partitioning between the DU and the radio unit (RU) is key to making sure the 3-5X system capacity improvement is realised. This is largely determined by the partitioning and architectural split between the functionality that goes into the DU and the functionality and computer that sits in the RU.

 

Uplink Performance

Looking at performance optimisation more deeply, the right architectural split between the baseband and the radio is key to obtaining the promise of performance. In the first wave of deployments, particularly the uplink (UL), there have been some performance limitations and the bandwidth and capacity that was expected hasn’t been delivered.

The beamformer performance in the RU is affected by several things, such as the age and accuracy of the beam weights. Limited beam weight frequency resolution also affects the uplink performance of the 5G system, as typically only one beam weight is shared between about every 12 sub carriers. This is because the front haul (FH) interface would be completely saturated if individual beam weights were applied to every sub-carrier.

How can these UL performance challenges be addressed? Implementation of reference symbol-based channel estimation and calculation of the beam weights directly in the RU means they can be applied directly to the beamformer, resulting in low latency channel model updates and higher performance. It will also lead to improved beam weight frequency resolution with a beam weight for every sub-carrier, again providing much better performance on the UL. However, additional compute is required for this. Fortunately, the latest silicon technology, such as Xilinx® Versal™ ACAPs, offer exceptional compute density at low power consumption to perform the real-time, low-latency signal processing demanded by beamforming algorithms. The AI Engines, which are part of the Versal AI Core series, are ideal for implementing the required mathematical functions and offer high compute density, advanced connectivity, as well as the ability to be reprogrammed and reconfigured. ACAP devices also offer the additional capacity required to upgrade the beamformer and add extra functionality even after deployment.

 

O-RAN Virtualisation

Finally, we can’t talk about the future of 5G without mentioning Open RAN (O-RAN). 5G operators are steadily moving away from traditional proprietary wireless equipment in favour of an open, disaggregated DU/CU and RU approach, selecting different vendors for DU/CU (O-DU & O-CU) and RU (O-RU). By adopting O-RAN architecture and specifications, operators can select a more innovative approach for each element of their O-RAN, and benefit from reduced CAPEX/OPEX and lower total cost ownership (TCO).

Whether it’s O-RAN or virtual baseband units (vBBUs), this “virtualisation of 5G” carries the promise of telco-deployed software services at the edge such as video streaming, gaming, or demanding automotive services. With 5G infrastructure investments growing to support new and higher bandwidth services, there’s a need for greater system acceleration to meet growing scale and bandwidth requirements. To address this, Xilinx offers the T1 Telco Accelerator Card for O-RAN distributed units (O-DUs) and vBBUs in 5G networks. Xilinx Telco Accelerator cards offload the latency-sensitive and throughput intensive 5G baseband functions, freeing up telco server processors for more interesting and commercialised software functions. These cards deliver the right performance, power, and ease of deployment required for the 5G virtualised edge.

5G tower option.jpg

Figure 2: T1 Telco Accelerator Card

 

The Future is Adaptable

What does future 5G technology look like? Well, it certainly needs to be adaptable. The first wave of 5G has offered us a clear picture of success metrics and challenges for the next waves, and it’s obvious that advanced silicon technology is a key component to realising the 5G vision of higher capacity, optimised power, cost and performance, as well as improved and innovative products and services, all in an economically viable manner.

 

About the author

Brendan Farley is VP Wireless Engineering & MD EMEA at Xilinx.

Brendan is based in Ireland where Xilinx operates its EMEA headquarters and an advanced research, development, engineering and IT centre. In his role as Vice-President for Wireless Engineering, Brendan is responsible for a global, multi-disciplined team developing key technologies for 5G applications. These include silicon products such as the revolutionary RFSoC device family, wireless soft-IP for beamforming and digital modulation, and 5G massive-MIMO system reference designs. Before joining Xilinx over 9 years ago Brendan worked in various senior roles in the Irish technology sector including Cylon Controls and S3 Group (now Adesto Technologies). Brendan has more than 10 patents in microelectonics and communications, numerous peer reviewed publications and is a regular contributor at conferences and industry forums. He is a Senior Member of the IEEE and holds a Bachelor of Science Degree in Electronic Engineering from Trinity College Dublin and a Master of Science Degree in Technology Management from NUI Galway.

Lisa Baker is Group Editor for the Need to See IT Publishing Group. Lisa writes about HR, Technology, Health, the Environment and Business.
View all posts

You Might Also Like